Biography
Dimitris Kazazis was born and raised in the island of Lesbos, in Greece. He holds an Electrical and Computer Engineering diploma (2001) from the National Technical University of Athens (NTUA) and a Sc.M. (2005) and Ph.D. (2009) degree from Brown University in Providence, RI, USA (Prof. Alex Zaslavsky's group). He also spent the summers of 2006 and 2007 as a summer intern at IBM T.J. Watson Research Center in Yorktown Heights, NY, USA. Between 2009 and 2014 he was a postdoctoral researcher at the Laboratory for Photonics and Nanostructures in the outskirts of Paris (now Center for Nanoscience and Nanotechnology, Paris-Saclay) and between 2015-2016 he worked on a collaboration between the Laboratory for Photonics and Nanostructures and the Paris Observatory. In 2016 Dimitris Kazazis joined the Laboratory for Micro- and Nanotechnology at PSI as a researcher and project coordinator, where he locally coordinates the NFFA-Europe project. Over the years he has worked and led several projects among which: characterization and modelling of the MOS transistor, ultrathin GeOI conventional and tunneling FETs, epitaxial growth of Ge on high-κ oxides, photocatalysis on thin high-κ oxides, suspended 2DEGs on III-V membranes for thermodynamic and MEMS applications, quantum Hall effect (QHE) in III-V and graphene (notably for metrological applications), state-of-the-art electrical resistance standards based on the QHE in graphene, Schottky diode based THz circuits for space applications, EUV interference lithography and achromatic Talbot lithography. He has taught several classes as a teaching assistant at Brown University (Introduction to Semiconductor and Semiconductor Electronics, Electricity and Magnetism, Analysis and Design of Electronic Circuits) and as part-time lecturer at Paris 7 University (Diderot), between 2013-2016 (undergraduate Physics) and has supervised several undergraduate and graduate students and postdocs.
Institutional Responsibilitites
Local coordination at PSI of the transnational access of the Horizon 2020 project NFFA-Europe. This includes the assessment of the technical feasibility of projects, the planning and fine-tuning of the project access to the nanofabrication and nanocharacterization facilities at the Laboratory for Micro- and Nanotechnology (LMN) of PSI as well as synchrotron characterization at the SLS, scientific and technical advice to the users as well as support during the access, notably for nanofabrication, in-lab characterization, and extreme UV interference lithography (EUV-IL). Member of the Technical Liaison Network (TLNet) of NFFA-Europe and responsible for reporting to NFFA-Europe. Contributing to NFFA-Europe Pilot (NEP) proposal as deputy workpackage leader. Nanofabrication expert in the Advanced Lithography and Metrology group, coordinating activities that require advanced micro- and nano-fabrication techniques. Supervising and mentoring students and postdocs.
Scientific Research
Dimitris Kazazis' current research lies in the field of nanoscience and nanotechnology. Utilizing and improving advanced nanofabrication techniques especially electron beam lithography (EBL) and extreme UV interference lithography (EUV-IL) he is developing two and three-dimensional structures and devices for applications in plasmonics, microfluidics, nanoelectronics, and x-ray optics. He is also significantly contributing to the ongoing efforts of the Advanced Metrology and Lithography group to improve the EUV-IL technique and its resolution, including the achromatic Talbot lithpgraphy, and contribute to the EUV resist development and chatacterization efforts.
Selected Publications
For an extensive overview we kindly refer you to our publication repository DORA .
Achromatic Talbot lithography with nano-ring masks for high-throughput periodic patterning, D. Kazazis, L.-T. Tseng, and Y. Ekinci, Microelectron. Eng. 225, 111273 (2020)
Periodic patterning is important for various scientific and technological applications, especially in the nanoscale. Achromatic Talbot lithography (ATL) utilizing extreme ultraviolet (EUV) wavelengths, notably 13.5 nm, is a powerful lithographic technique enabling high-resolution and high-throughput nanopatterning over large areas. Improving the resolution and the throughput of the technique requires elaborate designs based on simulations and nanofabrication of transmission diffraction gratings on thin silicon nitride membranes. Our simulations point to the fact that compared to conventional ATL masks with hole arrays, masks consisting of annular rings and intersecting annular rings show increased performance in terms of throughput. A set of masks with uncrossed and crossed annular rings have been nanofabricated and exposed with spatially coherent synchrotron EUV light and the experimental results confirm our theoretical predictions that masks with annular rings and crossed rings yield dot arrays with improved throughput. The presented technique may enable applications in science and technology where large-area and periodic nanopatterning is needed.
Grayscale e-beam lithography: Effects of a delayed development for well-controlled 3D patterning, T. Mortelmans, D. Kazazis, V. A. Guzenko, C. Padeste, T. Braun, H. Stahlberg, X. Li, and Y. Ekinci, Microelectron. Eng. 225, 111272 (2020)
Grayscale electron beam lithography (g-EBL) is a fabrication technique that allows for tunable control of resist topography. In most cases, the height of the structures is in the submicron regime. Here, we present an extensive experimental characterization of the post electron beam exposure behavior of poly(methyl methacrylate) (PMMA) 950 K for grayscale structuring with several micrometers in height. The obtained results show that the development depth for the same electron dose is dependent on the time between exposure and development. This dependence becomes more prominent at higher exposure doses. Additionally, it was found that a post-exposure bake influences the dose-response behavior of the resist material and, therefore, also the obtained three-dimensional (3D) structure. This work paves the way for well-controlled 3D micrometer structuring via g-EBL.
Electrically tunable multicolored filter using birefringent plasmonic resonators and liquid crystals, L. Driencourt, F. Federspiel, D. Kazazis, L.-T. Tseng, R. Frantz, Y. Ekinci, R. Ferrini, and B. Gallinet, ACS Photonics 7, 444 (2020)
Dynamic tuning of color filters finds numerous applications including displays or image sensors. Plasmonic resonators are subwavelength nanostructures which can tailor the phase, polarization, and amplitude of the optical field, but they are limited in color vibrancy when used as filters. In this work, birefringence-induced colors of plasmonic resonators and a fast switching thin liquid crystal cell are combined in a multicolored electrically tunable filter. With this mechanism, the color gamut of the plasmonic surface and the liquid crystal cell is mutually enhanced in order to generate all primary additive and subtractive colors with high saturation as well as different tones of white. A single filter is able to cover more than 70% of the color gamut of standard RGB filters by applying a voltage ranging between 2 and 6.5 V. This spectral selectivity is added in transmission without any loss in the image resolution. The presented approach is foreseen to be implemented in a variety of devices including miniature sensors or smart-phone cameras to enhance the color information, ultraflat multispectral imagers, wearable or head-worn displays, as well as high resolution display panels.
Sub-20 nm Si fins with high aspect ratio via pattern transfer using fullerene-based spin-on-carbon hard masks, L.-T. Tseng, D. Kazazis, X. Wang, C. M. Popescu, A. Robinson, and Y. Ekinci, Microelectron. Eng. 210, 8 (2019)
We report on a novel and simple pattern transfer process into Si via fullerene-based spin-on-carbon (SOC) hard masks in this work. Electron beam lithography and extreme ultraviolet interference lithography techniques are used to pattern high-resolution and dense lines on a resist/SOC bilayer. The patterns are subsequently transferred by a low-pressure O2 plasma etching (SOC) and reactive ion etching process with a gas mixture of SF6 and C4F8 (Si). Si sidewall trimming can be controlled by modifying the Si etching rate, achieving Si fins with dimension down to 15 nm half-pitch with aspect ratio as high as of 7:1.
Improving the Resolution and Throughput of Achromatic Talbot Lithography, D. Kazazis, L.-T. Tseng, and Y. Ekinci, J. Vac. Sci. Technol. B 36, 06J501 (2018)
High-resolution patterning of periodic structures over large areas has several applications in science and technology. One such method, based on the long-known Talbot effect observed with diffraction gratings, is achromatic Talbot lithography (ATL). This method offers many advantages over other techniques, such as high resolution, large depth-of-focus, and high throughput. Although the technique has been studied in the past, its limits have not yet been explored. Increasing the efficiency and the resolution of the method is essential and might enable many applications in science and technology. In this work, the authors combine this technique with spatially coherent and quasimonochromatic light at extreme ultraviolet (EUV) wavelengths and explore new mask design schemes in order to enhance its throughput and resolution. They report on simulations of various mask designs in order to explore their efficiency. Advanced and optimized nanofabrication techniques have to be utilized to achieve high quality and efficient masks for ATL. Exposures using coherent EUV radiation from the Swiss light source have been performed, pushing the resolution limits of the technique for dense hole or dot patterning down to 40 nm pitch. In addition, through extensive simulations, alternative mask designs with rings instead of holes are explored for the efficient patterning of hole/dot arrays. They show that these rings exhibit similar aerial images to hole arrays, while enabling higher efficiency and thereby increased throughput for ATL exposures. The mask designs with rings show that they are less prone to problems associated with pattern collapse during the nanofabrication process and therefore are promising for achieving higher resolution.
Nano-confinement of block copolymers in high accuracy topographical guiding patterns: modelling the emergence of defectivity due to incommensurability, S. Gottlieb, D. Kazazis, I. Mochi, L. Evangelio, M. Fernández-Regúlez, Y. Ekinci, and F. Perez-Murano, Soft Matter 14, 6799 (2018)
Extreme ultraviolet interference lithography (EUV-IL) is used to manufacture topographical guiding patterns to direct the self-assembly of block copolymers. High-accuracy silicon oxide-like patterns with trenches ranging from 68 nm to 117 nm width are fabricated by exposing a hydrogen silsesquioxane (HSQ) resist layer using EUV-IL. We investigate how the accuracy, the low line width roughness and the low line edge roughness of the resulting patterns allow achieving DSA line/space patterns of a PS-b-PMMA (polystyrene-block-poly methyl methacrylate) block copolymer of 11 nm half-pitch with low defectivity. We conduct an in-depth study of the dependence of the DSA pattern morphology on the trench width and on how the neutral brush covers the guiding pattern. We identify the relation between trench width and the emergence of defects with nanometer precision. Based on these studies, we develop a model that extends available free energy models, which allows us to predict the patterning process window.
Lithographic performance of ZEP520A and mr-PosEBR resists exposed by electron beam and extreme ultraviolet lithography, R. Fallica, D. Kazazis, I. Mocchi, H. Schift, Y. Ekinci, R. Kirchner, and A. Voigt, J. Vac. Sci. Technol. B 35, 061603 (2017)
Pattern transfer by deep anisotropic etch is a well-established technique for fabrication of nanoscale devices and structures. For this technique to be effective, the resist material plays a key role and must have a high resolution, reasonable sensitivity, and high etch selectivity against the conventional silicon substrate or underlayer film. In this work, the lithographic performance of two high etch resistance materials was evaluated: ZEP520A (Nippon Zeon Co.) and mr-PosEBR (micro resist technology GmbH). Both materials are positive tone, polymer-based, and nonchemically amplified resists. Two exposure techniques were used: electron beam lithography (EBL) and extreme ultraviolet (EUV) lithography. These resists were originally designed for EBL patterning, where high quality patterning at sub-100 nm resolution was previously demonstrated. In the scope of this work, the authors also aim to validate their extendibility to EUV for high resolution and large area patterning. For this purpose, the same EBL process conditions were employed at EUV. The figures of merit, i.e., dose to clear, dose to size, and resolution, were obtained, and these results are discussed systematically. It was found that both materials are very fast at EUV (dose to clear lower than 12 mJ/cm2) and are capable of resolving dense lines/space arrays with a resolution of 25 nm half-pitch. The quality of patterns was also very good, and the sidewall roughness was below 6 nm. Interestingly, the general-purpose process used for EBL can be extended straightforwardly to EUV lithography with comparably high quality and yield. Our findings open new possibilities for lithographers who wish to devise novel fabrication schemes exploiting EUV for fabrication of nanostructures by deep etch pattern transfer.
Quantum Hall resistance standard in graphene devices under relaxed experimental conditions, R. Ribeiro-Palau, F. Lafont, J. Brun-Picard, D. Kazazis, A. Michon, F. Cheynis, O. Couturaud, C. Consejo, B. Jouault, W. Poirier, and F. Schopfer, Nature Nanotechnol. 10, 965–971 (2015)
The quantum Hall effect provides a universal standard for electrical resistance that is theoretically based on only the Planck constant h and the electron charge e. Currently, this standard is implemented in GaAs/AlGaAs, but graphene's electronic properties have given hope for a more practical device. Here, we demonstrate that the experimental conditions necessary for the operation of devices made of high-quality graphene grown by chemical vapour deposition on silicon carbide can be extended and significantly relaxed compared with those for state-of-the-art GaAs/AlGaAs devices. In particular, the Hall resistance can be accurately quantized to within 1 × 10−9 over a 10 T wide range of magnetic flux density, down to 3.5 T, at a temperature of up to 10 K or with a current of up to 0.5 mA. This experimental simplification highlights the great potential of graphene in the development of user-friendly and versatile quantum standards that are compatible with broader industrial uses beyond those in national metrology institutes. Furthermore, the measured agreement of the quantized Hall resistance in graphene and GaAs/AlGaAs, with an ultimate uncertainty of 8.2 × 10−11, supports the universality of the quantum Hall effect. This also provides evidence of the relation of the quantized Hall resistance with h and e, which is crucial for the new Système International d'unités to be based on fixing such fundamental constants of nature.
Quantum Hall resistance standard based on graphene grown by chemical vapor deposition on silicon carbide
Replacing GaAs by graphene to realize more practical quantum Hall resistance standards (QHRS), accurate to within 10−9 in relative value, but operating at lower magnetic fields than 10 T, is an ongoing goal in metrology. To date, the required accuracy has been reported, only few times, in graphene grown on SiC by Si sublimation, under higher magnetic fields. Here, we report on a graphene device grown by chemical vapour deposition on SiC, which demonstrates such accuracies of the Hall resistance from 10 T up to 19 T at 1.4 K. This is explained by a quantum Hall effect with low dissipation, resulting from strongly localized bulk states at the magnetic length scale, over a wide magnetic field range. Our results show that graphene-based QHRS can replace their GaAs counterparts by operating in as-convenient cryomagnetic conditions, but over an extended magnetic field range. They rely on a promising hybrid and scalable growth method and a fabrication process achieving low-electron-density devices.
F. Lafont, R. Ribeiro-Palau, D. Kazazis, A. Michon, O. Couturaud, C. Consejo, T. Chassagne, M. Zielinski, M. Portail, B. Jouault, F. Schopfer, and W. Poirier, Nature Commun. 6, 6806 (2015)
Books
GeOI as a platform for ultimate devices, W. Van Den Daele, S. Cristoloveanu, E. Augendre, C. Le Royer, J.-F. Damlencourt, D. Kazazis, and A. Zaslavsky in Future Trends in Microelectronics: From Nanophotonics to Sensors and Energy, edited by S. Luryi, J. Xu, and A. Zaslavsky, John Wiley and Sons, Inc., Hoboken, New Jersey (2010)